×

Wafers

Raytex Corporation

http://www.raytex.com

Raytex Corporation offers a unique line of advanced systems for specialized wafer inspection and metrology. Raytex, headquartered in Tokyo, Japan, is one of the industry,s foremost providers of advanced systems for specialized wafer inspection and metrology. Founded in 1988 by Jun Takamura, Raytex has sales and service offices worldwide. Raytex,s goal is to provide the most intelligent, efficient and cost-effective solutions for specific inspection and metrology needs. Since 1988, Raytex has designed and produced equipment for inspecting wafer edges and backsides as well as measuring wafer nanotopography and reading wafer ID. In 2002, a record number of systems were delivered to customers worldwide. Early, proactive, and in-line detection of wafer defects enables customers to minimize wafer breakage during device manufacturing. The best preventative measure to avoid wafer breakage is careful inspection of incoming wafers and elimination of edge defects during processing. At Raytex, you will find that we offer cost-effective inspection solutions that enhance your end results. Raytex,s systems are used in advanced semiconductor and wafer fabrication facilities worldwide. All systems are supported globally by Raytex,s professional sales, applications and service teams. We welcome your inquires about Raytex products, and we extend an open offer to assist you in any way that we can, now or in the future. Contact us today for the latest product information.

  • 12/8/2013
  • 15
  • 0

Nanocs Inc

http://www.nanocs.com

Nanocs is the largest supplier of Kainic acid and other agonists at the kainate class of ionotropic glutamate receptors in the world. Manufactured by Cambridge Pharma-Chem, a division of Nanocs Inc., Kainic acid provided by Nanocs has the purity greater than 99%, the purest form of these exceitatory amino acids available from any natural or synthetic sources. The prices of our products are at least 30% lower than those from other companies. Nanocs works hard to provide the best quality kainic acid for users all over the world. Nanocs is now serving more than 40 neurological labs in over 10 countries.

  • 12/8/2013
  • 20
  • 0

Sawyer Technical Materials LLC

http://www.sawyerresearch.com

When you think of the global electronics industry, you probably don't think of Eastlake, Ohio - unless of course you're one of the worldwide manufacturers who have relied on Sawyer Technical Materials for nearly half a century. "Fifty years ago in 1956, C.B. Sawyer and (a team of fellow researchers) created the first commercially viable method for growing quartz crystals," says Vice President of Administration and Chief Financial Officer for Sawyer Technical Materials, Fred S. Taylor. The need for manufactured crystals was initially driven by the U.S. Army's interest in developing an alternative supply to the world's natural quartz mines, in part because of the strategic role quartz played in war-time communication devices. As communication devices infiltrated consumer markets, though, the demand for quartz - and Sawyer's customer base - expanded. Since 1956, Sawyer has supplied specialty materials for products ranging from CB radios and computers to cell phones and automotive sensors, and the firm looks forward to supporting the next generation of manufacturers as well. "The company is investigating new technologies including micron and nano-sized high purity powders and solutions," Taylor says. Between its corporate headquarters in Eastlake and its facility in Conroe, Texas, Sawyer has 111 employees in the United States. An additional 76 employees work in China as part of a joint venture; and according to Taylor, Sawyer works hard to give all of its associates a stake in the company's success. "We believe our 401k plan is above average with immediate eligibility, 100 percent vesting (on) day one, 100 percent match up to the first 5 percent contributed, and an additional 2 percent profit sharing contribution. All employees participate in a profit sharing incentive plan," Taylor says. "We believe this plan encourages all employees to think and act more like owners ... The company also provides employees with innovative training opportunities. "We recently took the entire Eastlake plant on a field trip to the Great Lakes Science Center," Taylor says. "We watched the NASCAR IMAX movie and had a training session to connect racing team concepts to our own culture and vision." Tuition reimbursement and opportunities for internal advancement are additional benefits. Numerous employees, according to Taylor, have gotten their education and then risen through the ranks with the company's support. "Steve Balog started on the plant floor in 1974, obtained a bachelor's degree and is now the Eastlake Quality Manager," he says, citing one of many success stories. The secret to Sawyer's longevity as an industry leader is a simple one, according to Taylor. Year after year, the company's emphasis on technology, innovation, and teamwork has enabled the firm to provide exceptional products for its customers and rewarding jobs for its employees.

  • 12/8/2013
  • 19
  • 0

Kelvin Nanotechnology Ltd

http://www.kelvinnanotechnology.com

Kelvin Nanotechnology is a Scottish based enterprise with a world wide customer base of over fifty clients. KNT provides a wide range of R&D and prototyping services. Core facilities include molecular beam epitaxy wafer growth, electron beam lithography, nano-imprint lithography, reactive ion etching and thin film deposition, fully equipped clean rooms with mask aligners for photolithography, wire bonding, design and test labs for lasers and dc-to-110 GHz on-wafer electrical testing. This complete set of semiconductor fabrication & processing tools is operated by an experienced team of researchers, making Kelvin Nanotechnology an excellent choice to subcontract the R&D needs of SMEs, large companies and Universities across the world. Kelvin Nanotechnology encourages collaborative projects and is currently active in the areas of Nanoelectronics Bioelectronics and Optoelectronics.

  • 12/8/2013
  • 18
  • 0

CyberOptics Semiconductor Inc

http://www.cyberopticssemi.com

CyberOptics Semiconductor designs and delivers precision products that measure critical parameters in semiconductor processes and equipment. CyberOptics Semiconductor is a subsidiary of CyberOptics Corp. (Nasdaq:CYBE), one of the world's leading providers of process yield and throughput improvement solutions for electronic assembly and semiconductor capital equipment companies.

  • 12/8/2013
  • 19
  • 0

Crystal Technology , Inc.

http://www.crystaltechnology.com

Crystal Technology, Inc. is the manufacturer of lithium niobate wafers, crystal substrates, and acousto- and electro-optic components. It is our mission to be a successful business by distinguishing ourselves as a best-in-class company. We are committed to accomplishing this by providing innovative design services, competitive pricing, and superior quality to our customers. By meeting these objectives, we earn confidence and trust, and provide a solid platform for successful business relationships. We maintain a firm belief that the success of our business can be measured by our customers' satisfaction.

  • 12/8/2013
  • 17
  • 0

Tec-Sem AG

http://www.tec-sem.com

Tec-Sem Group AG is a Swiss industrial holding company for producers of semiconductor equipment. It divides its operation into two business segments: Industrial Operation Segment (IOS), providing development, manufacture and sale of semiconductor equipment, and Private Equity Segment (PES) engaged in the investments in unquoted companies in the field of information technology and related areas. The Company provides semiconductor manufacturing equipment for fabric automation sector and specialized metrology. Its products range includes specialized tools ad software, measurement systems, single wafer stockers and sorters, and reticle stockers with or without integrated metrology for particle. Tec-Sem Group’s direct subsidiaries include Tec-Sem AG, NETadvisers AG and NETinvest (Overseas) Ltd. Its indirect subsidiary QC-Solutions Inc. provides non-destructive and no-contact technology monitoring systems for silicon wafer manufacturers and the semiconductor industry. (Source: ARS)

  • 12/8/2013
  • 19
  • 0

PV Crystalox Solar plc

http://www.pvcrystalox.com

PV Crystalox Solar is one of the world's leading producers of multicrystalline silicon materials for the global solar cell industry. PV Crystalox Solar is now expanding its production both in Germany and in the UK and requires a number of staff to fill the following positions: Vacancies in Germany Interested applicants should apply in writing giving relevant personal details and experience to: PV Silicon Forschungs und Produktions AG / Sybille Radcke Wilhelm-Wolff-Straße 25 / 99099 Erfurt / Germany e-mail: sybille.radcke@pvsilicon.com telephone: ++49 361 60085150 or PV Silicon Forschungs und Produktions AG / Diana Hennig Chemieparkstraße 7 / 06749 Bitterfeld / Germany e-mail: diana.hennig@pvsilicon.com telephone: ++49 3493 78518

  • 12/8/2013
  • 19
  • 0

EpiValley Co. , Ltd.

http://www.epivalley.com

EpiValley Co. Ltd. is a Korea-based manufacturer specialized in the provision of electronic components and communication equipment. The Company operates its business under three segments: light-emitting diode (LED), C & B and liquid crystal display (LCD) module segments. Its LED segment offers LED wafers and LED chips used as optical semiconductor devices to convert electric energy into light energy. Its C & B segment exports data modem wireless local loops (WLLs), including fixed wireless phones, fixed wireless terminals, integrated pay phones and wireless pay phones, and wireless fidelity (Wi-Fi) phones to India, Africa, Southeast Asia and Central and South America. Its LCD module segment manufactures parts applied to LCD, organic light-emitting device (OLED) and plasma display panel (PDP) modules and supplies under original equipment manufacturer (OEM) brand to Samsung SDI.

  • 12/8/2013
  • 17
  • 0

Supercritical Systems Inc.

http://www.cleanwafer.com

Supercritical Systems, Inc. (SSI) was formed as a California corporation in October, 1998 to develop and market an innovative wafer cleaning technology that delivers process results unattainable with present technologies. As the semiconductor industry moves toward the implementation of the copper damascene process, low-k dielectric materials, 300mm wafers, and 90nm (and smaller) line widths, there are many opportunities for new cleaning solutions to address new problems. SSI developed baseline processes in Fremont, CA to prove the technology and production feasibility. This was accomplished, and the concepts that are necessary for production quality were patented. In October 2000, SSI was purchased by Tokyo Electron, Limited to further enhance TEL's leadership in cleaning technologies. With the establishment of Supercritical Systems' and TEL's relationship, SSI can now build upon TEL's wealth of experience and infrastructure. Using TEL for sales, service and parts supply reduces costs and improves response time. Furthermore, TEL's wealth of knowledge in platform manufacturing provides SSI a stable wafer handling system at lower costs. Overall, our time to market is significantly reduced. Using part of the Tokyo Electron Arizona complex provides SSI with world-class clean rooms, efficient and low-cost manufacturing space and other infrastructure-critical functions. SSI is well positioned to develop world-class systems with efficiency and production worthiness.

  • 12/8/2013
  • 21
  • 0

Applied MEMS , Inc.

http://www.appliedmems.com

Applied MEMS Inc. is a wholly-owned subsidiary of Input/Output. Since 1997, Applied MEMS has operated a 35,000 sq. ft. manufacturing facility in Stafford, Texas, that includes a world-class, high-volume, automated six-inch wafer fabrication facility and a custom packaging facility. Its test/characterization capabilities are dedicated to MEMS product development and production. Applied MEMS employs more than 40 MEMS professionals experienced in the design, fabrication, packaging and testing of MEMS products and systems. Applied MEMS has 22 patents, issued or pending, covering key MEMS product designs and manufacturing process elements. Applied MEMS offers integrated foundry services for fabless providers of MEMS-based products and the Si-Flex(TM) product line, which represents the lowest-noise commercial MEMS accelerometer available.

  • 12/8/2013
  • 18
  • 0

WaferTech LLC

http://www.wafertech.com

Founded as a U.S. limited liability company in June 1996, WaferTech was the first dedicated semiconductor contract manufacturer, also known as a pure-play foundry, in the United States. As a TSMC family member, WaferTech is solely committed to manufacturing the integrated circuits (ICs), or chips, of our customers. WaferTech is located on 260 acres in Camas, Washington, 20 miles outside of Portland, Oregon, in the Pacific Northwest's Silicon Forest. The company's 1-million-square-foot fabrication complex includes approximately 130,000 square feet of clean room space. WaferTech is proud to be a subsidiary of TSMC, the world's largest dedicated semiconductor foundry, providing the industry's leading process technology, library and IP options and other leading-edge foundry services, such as mask making and IC packaging and testing. As the founder and leader of this industry, TSMC has built its reputation by offering advanced wafer production processes and unparalleled manufacturing efficiency. Based on proven, advanced TSMC technology, WaferTech produces ICs on eight-inch wafers using advanced CMOS technology. These include logic, mixed-mode, and volatile and non-volatile memory. Such chips are used in a variety of products from desktop computers to automobiles to consumer electronics to communication equipment.

  • 12/8/2013
  • 21
  • 0

Anorad Corporation

http://www.anorad.com

Anorad offers the widest variety of motors available from a single source. Our comprehensive product offering also includes performance matched servo amplifiers, digital controls, and feedback devices, to make configuring a linear motion system easier than ever. As the technology leader in direct drive motion, Anorad introduced the first patented brushless linear motors. Today, Anorad linear motors are the most widely used in the world, with over 40,000 axes installed. Drawing on our 25 years of experience in system design, Anorad can also provide a complete high performance motion system engineered to suit your specific application requirements. Anorad is committed to providing our customers with the widest variety of high performance direct drive motion technology. For over 25 years Anorad has been the direct drive choice. That's why linear motors are synonymous with the name Anorad. LEM

  • 12/8/2013
  • 18
  • 0

Wafer Technology Ltd

http://www.wafertech.co.uk

Wafer Technology provides its customers with a comprehensive level of sales and technical support at every location. Our team of qualified engineers and sales professionals offer a personalised service and are backed by ISO 9001:2000 certified processes. Whatever your wafer demands we're equipped to respond.

  • 12/8/2013
  • 16
  • 0

Semefab Ltd

http://www.semefab.co.uk

Founded in 1986, Semefab has an impressive track record of process development, induction and fabrication of custom Silicon based MEMS, CMOS and ASIC technologies, both for established and start up companies who rank innovation, cost effectiveness and quality high on their list of priorities. Semefab (Scotland) Ltd. fosters a philosophy of teamwork and achievement throughout all organisational disciplines and this is reflected in our Investor in People accreditation. Semefab's commitment to quality, continuous improvement and environmental compliance is acknowledged by ISO9001 and ISO14001 accreditation.

  • 12/8/2013
  • 21
  • 0

Hymite GmbH

http://www.hymite.com

Hymite designs, develops and manufactures innovative silicon-based wafer-scale packages for high-volume applications including high-brightness LEDs, MEMS and RF. Unlike traditional packaging, the company's innovative silicon packaging enables customers to shrink package size, improve thermal performance, maintain hermeticity, and cut costs through wafer-scale production. Hymite customers include established LED, MEMS and IC manufacturers.

  • 12/8/2013
  • 20
  • 0

Canadian Solar Inc.

http://www.csisolar.com

Canadian Solar Inc. (CSI) designs, develops, manufactures and sells solar cell and module products that convert sunlight into electricity for a variety of uses. The Company conducts all of its manufacturing operations in China. The Company’s products include a range of standard solar modules built to general specifications for use in a range of residential, commercial and industrial solar power generation systems. It also designs and produces specialty solar modules and products based on its customers’ requirements. Specialty solar modules and products consist of customized modules that its customers incorporate into their own products, such as solar-powered bus stop lighting, and complete specialty products, such as solar-powered car battery chargers. It sells its products under its CSI brand name and to original equipment manufacturing (OEM) customers under their brand names. (Source: 20-F)

  • 12/8/2013
  • 17
  • 0

DALSA Semiconductor Inc

http://www.dalsasemi.com

DALSA Semiconductor is a leading foundry services provider focusing on integrated MEMS devices, analog and mixed-signal CMOS, and CCD image sensors. As a pure-play foundry that does not engage in design or marketing of MEMS or IC devices themselves, our goal is to deliver innavative foundry capabilities as a manufacturing partner to fabless and fab-lite semiconductor companies. Our fabrication strengths include integration of sense and actuation circuitry alongside MEMS structures, flexible wafer-scale packaging, and a range of materials, equipment, and process flows that enable the most advanced MEMS and image sensor performance. Many of our process technologies are usually considered unconventional or even contradictory in mainstream integrated circuit production, but DALSA Semiconductor's foundry services together provide designers and customers with a rare ability to optimize architecture, performance, and reliability to meet the needs of high-volume, mission-critical microsystem applications. Our Bromont foundry received Motorola's Foundry of the Year Award in 2001 as well as a Gold Performance Award.

  • 12/8/2013
  • 18
  • 0

INTRINSIC Semiconductor Corporation

http://www.intrinsicsemi.com

INTRINSIC Semiconductor Corporation of Dulles, Virginia, was founded in 2002, with the mission of developing materials and device technologies based on SiC and GaN materials. They have become a technological leader with the recent introduction of their ZMP SiC wafers. The company acquired Bandgap Technologies and AMDS in 2004, both of which were dedicated to either SiC crystal growth or SiC device development.

  • 12/8/2013
  • 20
  • 0

ASTI Holdings Limited

http://www.astigp.com

ASTI Holdings Limited ("ASTI"), listed on the Mainboard of the Singapore Exchange, is a uniquely positioned company whose core business is servicing the semiconductor industry. The integrated group consists of businesses from Back-end Equipment Solutions & Technologies ("BEST") and Services. ASTI has also leveraged on its proprietary machine vision solutions, which represent the cornerstone of its technology, to extend its continuum of products and services into non-semiconductor industries. In addition, ASTI has ventured into offering equipment contract manufacturing services to non-semiconductor customers. A leading market leader in semiconductor equipment, the semiconductor equipment division researches, designs, develops and manufactures semiconductor equipment for its customers. ASTI is also one of the world's leading semiconductor manufacturing services provider, offering world-class services for its customers to optimise their resources. In addition, ASTI provides a range of services in Asia, offering integrated and synergistic solutions. Aside from the semiconductor industry, its machine vision solutions business also serves the needs of customers in non-semiconductor industries. The Group's equipment contract manufacturing services business, which makes precision parts, modules and standalone equipment assembly, allows it to lower its manufacturing cost for its semiconductor equipment business. ASTI has 6 R&D centres, 17 factories and 45 sales offices worldwide operating in Southeast Asia, Greater China, India, South Korea, the USA and the UK. The Group has approximately 2,900 employees worldwide and over 180 patents in vision systems, packaging technologies and electromechanical systems. ASTI owns a 75% stake in the Singapore Exchange Mainboard-listed subsidiary, Dragon Group International (formerly known as Flextech Holdings Limited), and a 51% stake in the Singapore Exchange SESDAQ-listed subsidiary, Advanced Systems Automation Limited.

  • 12/8/2013
  • 19
  • 0

Note

Not found any data